메뉴 건너뛰기

XpressEngine

International Journals
Domestic Journals
International Conferences
Domestic Conferences
Patents

Domestic Conferences

Title

Name of the conference

Date

Etch Characteristics of Micrometer-Scale Masked Cu Thin Films Using Inductively Coupled Plasma of H2/Ar

 제25회 한국반도체학술대회

February 05-07, 2018

Etch Charateristics of Nanometer-Scale Patterned Thin Film Using Aerosol Jet Etching System

제25회 한국반도체학술대회

February 05-07, 2018
Inductively Coupled Plasma Reactive Ion Etching og Cu Thin Film Using O2/Ar Plasma
제25회 한국반도체학술대회

February 05-07, 2018
Study on etch characteristics of nanometer-scale patterned CoFeB magnetic thin films using various etch gases
2017년 한국화학공학회

April 26-28, 2017
Anisotropic etching of nanometer-scale patterned MTJ stacks under various etch gases
2017년 한국화학공학회

April 26-28, 2017
Influence of non-corrosive etch gases containing C, H, and O elements on magnetic properties of CoPt superlattice
2017년 한국화학공학회

April 26-28, 2017
Investigation on Etch Characteristics of Nanometer-Scale Patterned CoFeB Thin Films using Pulse Modulated Plasmas
제24회 한국반도체학술대회
February 13-15, 2017
Etch Characteristics of Nanometer Scale Masked MTJ stacks Using Pulse Modulated Plasmas
제24회 한국반도체학술대회
February 13-15, 2017
Effect of Non-Corrosive Gas Mixture on Properties of Etched CoFeB Alloys Using Inductively Coupled Plasma Reactive Ion Etching
제24회 한국반도체학술대회
February 13-15, 2017
Jae Yong Lee, Su Min Hwang, Dong Gyu Lim, and Chee Won Chung, “Comparison of etch characteristic of Palladium thin films in C, H, O containing gas mixtures”
제14회 국제나노기술심포지엄 (Nano Korea 2016 symposium), 일산 킨텍스
July 13-15, 2016

Su Min Hwang, Jae Yong Lee, Dong Gyu Lim, and Chee Won Chung, “Nano Etching of TiN Thin Films with Nanometer-Sized Patterns Using Inductively Coupled Plasma Reactive Ion Etching”

제14회 국제나노기술심포지엄 (Nano Korea 2016 symposium), 일산 킨텍스

July 13-15, 2016

Su Min Hwang, Jae Yong Lee, Adrian Adalberto Garay, Ji Hyun Choi and Chee Won Chung, “Effect of Etching Gas on Magnetic Tunnel Junction Stacks Using Inductively Coupled Plasma Reactive Ion Etching”

제23회 한국반도체 학술대회, 강원도 하이원리조트

Feb. 22-24, 2016

Jae Yong Lee, Su Min Hwang, Adrian Adalberto Garay, Ji Hyun Choi and Chee Won Chung, “High density plasma etching of Palladium thin films”

제23회 한국반도체 학술대회, 강원도 하이원리조트

Feb. 22-24, 2016

서 민수, 황 수민1, 정 지원1, 배 태진2, 홍 종일2, 김 상일, 조 영훈, 최 연석, 박 승영, 한국기초과학지원연구원, 스핀공학물리연구팀, 1인하대학교, 화학공학과, 2연세대학교, 신소재공학과, ”알콜계 가스를 이용한 CoFeB 박막 식각 후 자력특성 변화 연구”

2015년 한국물리학회 춘계학술대회, 대전컨벤션센터

April 22-24, 2015

Su Min Hwang, Adrian Adalberto Garay, Ji Hyun Choi and Chee Won Chung, “Comparison of etch characteristics of Ru thin films using CH3OH/Ar and CH4/O2/Ar plasmas”

2015년 한국화학공학회 춘계학술대회, 제주국제컨벤션센터

April 22-24, 2015

Adrian Adalberto Garay, Su Min Hwang, Ji Hyun Choi and Chee Won Chung, “High density plasma reactive ion etching of Co2MnSi thin films using a CH3OH/Ar gas mixture for magnetic random access memory applications”

2015년 한국화학공학회 춘계학술대회, 제주국제컨벤션센터

April 22-24, 2015

Ji Hyun Choi, Adrian Adalberto Garay, Su Min Hwang and Chee Won Chung, “Inductive coupled plasma reactive ion etching characteristics of Ta thin films for hard mask applications”

2015년 한국화학공학회 춘계학술대회, 제주국제컨벤션센터

April 22-24, 2015

Adrian Adalberto Garay Dixon, Su Min Hwang, Ji Hyun Choi, and Chee Won Chung, "Inductively Coupled Plasma Reactive Ion Etching of Nanometer-Size Patterned Magnetic Tunnel Junction Stacks using C, H, O Containing Gases"

제22회 한국반도체 학술대회, 인천송도컨벤시아

Feb. 10-12, 2015

Su Min Hwang, Adrian Adalberto Garay, and Chee Won Chung, "Inductively Coupled Plasma Reactive Ion Etching of Ru Thin Films Using CH4/O2/Ar Gas Mixture"

제22회 한국반도체 학술대회, 인천송도컨벤시아

Feb. 10-12, 2015

Ji Hyun Choi, Sung Hee Jung and Chee Won Chung, “Characterization of Zn(O,S) buffer layers for Cu(In,Ga)Se2 solar cells”

Global Photovoltaic Conference 2014, BEXCO, Busan, Korea

Nov. 10-11, 2014

Il Hoon Lee, Adrian Adalberto  Garay, Su Min Hwang, Ji Hyun Choi, Chee Won Chung, “ Dry Etching of Magnetic Tunnel Junctions Stacks using a H2O/CH3OH Based Inductively coupled Plasma”

21 한국반도체학술대회한양대학교, p177

February 24-26, 2014

Il Hoon Lee, Su Min Hwang, Adrian Adalberto  Garay, Ji Hyun Choi, Chee Won Chung, “ Comparison of High Density Plasma Etching of MgO Thin Films using Cl­­­­­­, CH3OH and CH4 Plasmas”

21 한국반도체학술대회한양대학교, p177

February 24-26, 2014

Sung Hee Jung, Ji Hyun Choi, Chee Won Chung, “Cu(In,Ga)Se2 (CIGS) 광흡수층의 buffer layer로서의 RF sputter에 의한 CdS 박막 성장

2013 한국화학공학회 가을 총회 및 핟술대회대구 EXCO, p242

October 23-25, 2013

Sung Hee Jung, Chee Won Chung, “Influence of sulfurization process on RF sputtered CIGS thin films using a single quaternary target”

한국태양광발전학회 2013 춘계학술대회성균관대학교 (자연과학캠퍼스), p211

April 29-30, 2013.

Sung Hee Jung, Chee Won Chung, “Effect of sulfurization on CIGS thin films by RF magnetron sputtering using a Cu(In1-xGax)Se2 single target”

44 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 22(1), p675.

February 18-20, 2013.

Il Hoon Lee, Tea Young Lee, Chee Won Chung, “Etch Characteristics of MgO Thin Films in Cl2/Ar, CH3OH/Ar, and CH4/Ar Plasmas”

44 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 22(1), p387.

February 18-20, 2013.

Sung Hee Jung, Seon Mi Kong, and Chee Won Chung, “Influence of Heat Treatment on the Structural, Electrical and Optical Properties of Aluminum-Doped Zinc Oxide Thin Films Prepared by Magnetron Sputtering”

Global Photovoltaic Conference 2012, 부산, Bexco, p264

November 19-21, 2012.

Sung Hee Jung and Chee Won Chung, “Characterization of CIGS thin films by RF magnetron sputtering using a Cu(In1-xGax)Se2 single quaternary target without post-annealing”,

Global Photovoltaic Conference 2012, 부산, Bexco, p313

November 19-21, 2012

 성희번영공선미정지원, “4성분 단일 타겟을 이용한 RF magnetron sputtering 의한 CIGS 박막 성장의 기판 온도와 열처리 온도에 따른 전기적 특성”

2012 한국화학공학회 춘계학술대회제주 ICC, p362

April 25-27, 2012

Rong Fan, Sung Hee Jung, Chee Won Chung, “Influence of Two-source Aqueous Solution on CuInS2Thin Films Prepared by Aerosol Jet Deposition”

2012 한국화학공학회 춘계학술대회제주 ICC, p375,

April 25-27, 2012

Tea Young Lee, Il Hoon Lee, Kwang Hee Lee and Chee Won Chung, "High Density Plasma Etching of IrMn Thin Films in a HBr/Ar Plasma", ,

2012 한국화학공학회 춘계학술대회제주 ICC, p376

April 25-27, 2012.

Il Hoon Lee, Tea Young Lee, and Chee Won Chung, "Etch Characteristics of Magnetic Tunnel Junction Stacks in a O2/Ar Plasma,

 2012 한국화학공학회 춘계학술대회제주 ICC, p376

April 25-27, 2012.

Tea Young Lee, Eun Ho Kim, and Chee Won Chung, “Investigation on Etch Characteristics of Magnetic Tunnel Junction Stacks in a CH4/O2/Ar Plasma“

19 한국반도체학술대회고려대학교, p245

February 15-17, 2012.

Tea Young Lee, Eun Ho Kim, Il Hoon Lee, and Chee Won Chung, "Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films in CH4/Ar plasma"

19 한국반도체학술대회고려대학교, p245

February 15-17, 2012.

Tea Young Lee, Il Hoon Lee, Chee Won Chung, “Effects of Etch Parameters on Etching of CoFeB Thin Films in CH4/O2/Ar Mix”,

42 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 21(1), p390,

February 8-10, 2012

Sung Hee Jung, Seon Mi Kong, Rong Fan, Chee Won Chung, “Effect of the substrate temperature on the characteristics of CIGS thin films by RF magnetron sputtering using a Cu(In1-xGax)Se2 single target”,

42 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 21(1), p382

February 8-10 2012.

Rong Fan, Sung Hee Jung, Chee Won Chung, “Morphological Structural and Electrical Properties of DC Magnetron Sputtered Mo Thin Films for Solar Cell Application”,.

42 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 21(1), p389,

February 8-10 2012

Il Hoon Lee, Tea Young Lee and Chee Won Chung, “The influence of O2 gas on the etch characteristics of FePt thin films in CH4/O2/Ar gas”,

42 한국진공학회 동계정기학술대회 프로그램집보광휘닉스파크한국진공학회 회보, Vol 21(1), p408

February 8-10 2012.

Seon Mi Kong, Rong Fan, Sung Hee Jung, Chee Won Chung, "Preparation of Copper Indium Gallium Selenide Thin Films by RF Magnetron Sputtering Using a Single Target", ,.

한국태양광학술대회 2011, 부산 해운대그랜드호텔, p97

September. 28-30, 2011

Rong Fan, Seon Mi Kong, Dong Chan Kim, Chee Won Chung, "Characterization of CuInS2thin films prepared by Aerosol Jet Deposition",.

한국태양광학술대회 2011, 부산 해운대그랜드호텔, p99,

September 28-30, 2011

Tea Young Lee, Seon Mi Kong, Rong Fan, Dong Chan Kim, Sung, Hee Jung, Chee Won Chung, "Characteristics of CdS Thin Films Deposited by Chemical Bath Deposition",

한국태양광학술대회 2011, 부산 해운대그랜드호텔 p100

September 28-30, 2011

Seon Mi Kong, Rong Fan, Dong Chan Kim, Chee Won Chung, “Structural and Optical Properties of Copper Indium Gallium Selenide Thin Films Prepared by RF Magnetron Sputtering”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p226

February 9-11 2011

김동찬번영공선미정지원, “Aerosol Jet Deposition 이용한기판 온도에 따른 CuInS2 박막 특성”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p228

February 9-11 2011

Rong Fan, Seon Mi Kong, Dong Chan Kim, Chee Won Chung, “Aerosol Jet Deposition of CuInS2 Thin Films”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p227

February 9-11 2011.

Eun Ho Kim, Hwa Won Lee, Tae Young Lee and Chee Won Chung, “Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a CH4/Ar Plasma”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p235

February 9-11, 2011

이태영소우빈김은호이화원정지원, “고밀도 반응성 이온 식각을 이용한 IrMn 자성 박막의 식각”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p236

February 9-11, 2011

Hwa Won Lee, Eun Ho Kim, Tae Young Lee and Chee Won Chung, “Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a CH4/Ar Plasma”

40 한국진공학회 동계학술대회보광휘닉스파크한국진공학회 회보, Vol 19(1), p145

February 9-11, 2011

공선미ㆍ소우빈ㆍ김은호ㆍ정지원, "Electrical, Structural and Optical Properties of ZnO Thin Films Prepared by Magnetron Sputtering"

2010 한국공업화학회 추계 정기총회  연구논문발표회

2010

E. H. Kim, Y. B. Xiao, S .M. Kong, and C. W. Chung, "Investigation on High Density Plasma Reactive Ion Etching of CoFeB Thin Films For Nonvolatile Magnetic Random Access Memory"

2010 한국공업화학회 추계 정기총회  연구논문발표회

2010

공선미, 소우빈, 김은호, 정지원, "Chemical Bath Deposition법에 의해 제조된 CdS 박막의 특성"

38 한국진공학회 동계학술대회

2010

Y.B. Xiao, E.H. Kim, S.M. Kong, C.W. Chung, "High density plasma etching of CoFeB and IrMn magnetic films with Ti hard mask"

38 한국진공학회 동계학술대회

2010

김은호, 소우빈, 공선미, 정지원, "CoFeB IrMn 자성 박막의 고밀도 반응성 이온 식각"

38 한국진공학회 동계학술대회

2010

Y.B. Xiao, E.H. Kim, S.M. Kong, C.W. Chung, "High density plasma etching of MgO thin films in Cl2/Ar and Cl2/O2/Ar gases"

38 한국진공학회 동계학술대회

2010

김은호, 소우빈, 공선미, 정지원, "HBr 가스를 이용한 MgO 박막의 고밀도 반응성 이온 식각"

38 한국진공학회 동계학술대회

2010

이도영, 조영흥, 정지원, "용액 성장법을 이용한 CdS 박막의 특성"

2009 한국화학공학회 춘계 정기총회  연구논문발표회

2009

이도영, 조영흥, 정지원, "IZO 박막의 구조설계에 의한 염료감응형 태양전지의 성능향상"

2009 한국화학공학회 춘계 정기총회  연구논문발표회

2009

이도영, 김종성, 정지원, "Cl2/Ar 가스를 이용한 Gallium Indium Zinc Oxide (GIZO) 박막에 대한 유도 결합 플라즈마 반응성 이온 식각"

35 한국진공학회 하계학술대회

2008

이도영, 정지원, "HBr/Ar 고밀도 플라즈마를 이용한 Indium Zinc Oxide 투명전극의 건식 식각 특성"

35 한국진공학회 하계학술대회

2008

이도영, 정지원, "하드 마스크(Hard mask) 제작을 위한 TiN 박막의 증착에 관한 연구"

2008 한국공업화학회 춘계 정기총회  연구논문발표회

2008

이도영, 정지원, "플렉시블 염료감응형 태양전지에 적용을 위한 저온공정용 TiO2 paste 연구"

2008 한국공업화학회 춘계 정기총회  연구논문발표회

2008

조한나, 민수련, 리유에롱 정지원, "C2F6/Ar 플라즈마를 이용한 IZO 박막의 건식 식각"

2007 한국공업화학회 춘계 정기총회  연구논문발표회

2007

민수련, 조한나, 리유에롱, 최승필, 정지원, "HBr/Ar Cl2/Ar 플라즈마를 이용한 나노미터로 패턴된 Magnetic Tunnel Junction Stack 건식 식각"

2007 한국공업화학회 춘계 정기총회  연구논문발표회

2007

리유에롱, 조한나, 민수련, 노수진, 정지원, "플렉시블 염료감응형 태양전지 제작을 위하여 radio frequency 마그네트론 스퍼터링 증착법에 의해 증착된 Indium Zinc Oxide 박막"

2007 한국공업화학회 추계 정기총회  연구논문발표회

2007

리유에롱, 민수련, 조한나, 정지원, "rf 스퍼터된 Indium Zinc Oxide 박막이 저온공정 처리된 염료감응형 태양전지의 효율에 미치는 영향"

2007 한국공업화학회 추계 정기총회  연구논문발표회

2007

조한나, 민수련, 리유에롱, 정지원, "C2F6/Ar 가스를 이용한 TiO2 박막의 유도결합 플라즈마 반응성 이온식각"

2007 한국공업화학회 추계 정기총회  연구논문발표회

2007

민수련, 조한나, 노수진, 리유에롱, 정지원, "HBr/Cl2/Ar 가스를 이용한 나노미터 크기로 패턴된 Magnetic Tunnel Junction 식각 특성"

2007 한국공업화학회 추계 정기총회  연구논문발표회

2007

Jang Woo Lee, Su Ryun Min, Han Na Cho, Ik Hyun Park, and Chee Won Chung, "Etching Characteristics of ZnO Thin Films in a HBr/Ar Plasma"

KCS2006  13 한국반도체학술대회

2006

Ik Hyun Park, Jang Woo Lee, and Chee Won Chung, "High Density Plasma Etching of GeSbTe Thin Films for Phase-Change Memory"

KCS2006  13 한국반도체학술대회

2006

민수련, 이장우, 조한나, 정지원, "Cl2/Ar 가스를 이용한 ZnO 박막의 유도 결합 플라즈마 반응성 이온 식각"

2006 한국화학공학회  총회  학술대회

2006

조한나, 민수련, 이장우, 정지원, "Radio Frequency Reactive Magnetron Sputtering 의해 증착된 Indium Zinc Oxide 박막의 전기적 특성과 광학적 특성"

2006 한국화학공학회  총회  학술대회

2006

민수련, 이장우, 조한나, 정지원, "HBr/Ar 플라즈마를 이용한 ZnO 박막에 대한 식각 특성"

2006 한국공업화학회 춘계 정기총회  연구논문발표회

2006

민수련, 이장우, 조한나, 정지원, "Radio Frequency Magnetron Sputtering 방법을 이용하여 증착된 Zinc Oxide 박막의 광학적 특성과 전기적 특성"

2006 한국공업화학회 춘계 정기총회  연구논문발표회

2006

조한나, 이장우, 민수련, 정지원, "Radio Frequenty Reactive Magnetron Sputtering 방법으로 증착된 ITO 박막에 대한 산소 농도의 영향"

2006 한국공업화학회 춘계 정기총회  연구논문발표회

2006

민수련, 조한나, 임성근, 정지원, "Ru 하드 마스크를 이용한 Al 박막의 건식 식각"

2006 한국화학공학회 가을 총회  학술대회

2006

리유에롱, 조한나, 민수련, 정지원, "rf 스퍼터된 In2O3-ZnO 박막의 광학적전기적 특성에 미치는 스퍼터링 변수의 영향"

2006 한국화학공학회 가을 총회  학술대회

2006

조한나, 민수련, 리유에롱, 정지원, "Cl2/Ar 가스를 이용한 Ni 박막의 건식 식각"

2006 한국화학공학회 가을 총회  학술대회

2006

조한나, 민수련, 리유에롱, 정지원, "C2F6 가스를 이용한 TiO2 박막의 건식 식각" 특성

2006 한국공업화학회 추계 정기총회  연구논문발표회

2006

민수련, 조한나, 리유에롱, 정지원, "Pt 하드마스크를 이용한 Ti 박막의 고밀도 플라즈마 식각"

2006 한국공업화학회 추계 정기총회  연구논문발표회

2006

박익현, 신별, 이장우, 정지원, "양극산화공정을 이용한 NbOx nanopillar 형성"

춘계공업화학회

2005

박익현, 이장우, 신별, 정지원, "알루미나의 세공을 이용한 자기 조립된 금속산화물의 nanopillar 형성"

춘계공업화학회

2005

신별, 박익현, 정지원, "차세대 자성 메모리에의 응용을 위한 나노미터 크기의 magnetic tunnel junction 패터닝"

춘계공업화학회

2005

이장우, 박익현, 정지원, "Metal-insulator-transtion 특성을 나타내는 VO2박막의 형성"

춘계공업화학회

2005

"알루미늄의 양극산화공정을 이용한 NbOx nanopillar 형성"

춘계공업화학회

2005

민수련, 박익현, 이장우, 정지원, "고밀도 플라즈마를 이용한 TiN 박막의 반응성 이온 식각"

추계화학공학회

2005

이장우, 박익현, 정지원, "Metal-insulator-transition 특성을 나타내는 Nb2O5 박막의 증착"

추계화학공학회

2005

박익현, 이장우, 민수련, 정지원, "E-beam lithography 이용하여 나노미터 크기로 패턴된 magnetic tunnel junction (MTJ) 건식식각"

추계화학공학회

2005

이장우, 박익현, 정지원, "고밀도 유도결합 플라즈마를 이용한 IrRu 박막의 식각 특성"

추계화학공학회

2005

박익현, 이장우, 정지원, "유도결합 플라즈마 반응성 이온식각에 의한 GeSbTe 박막의 식각 특성"

2005 한국공업화학 추계학술대회

2005

정지원, 박익현, 박왕현, 신경호, 김기원, 조영진, 김태완, "자성 메모리를 위한 나노미터 크기의 자기터널접합 구조의 고밀도 플라즈마 식각"

2005 한국자기학회 동계학술대회

2005

박익현, 이장우, 정지원, "유도 결합 플라즈마 반응성 이온 식각을 이용한 NbOx nanodot 식각 메커니즘 연구"

추계공업화학회

2004

이장우, 박익현, 정지원, "SiNx 박막을 이용한 Si nanodot 형성에 관한 연구"

추계공업화학회

2004

신별, 박익현, 정지원, "High density plasma reactive ion etching of CoTb and CoZrNb magnetic materials"

춘계화학공학회

2004

박익현, 신별, 정지원, "Formation of Silicon nandot Using Self-Assembled TaOx mask"

춘계화학공학회

2004

신별, 정지원, "CoTb CoZrNb 자성 박막의 고밀도 반응성 이온 식각"

춘계화학공학회

2004

신별, 정지원, "DC 마그네트론 스퍼터링에 의하여 증착된 IZO 박막의 특성"

춘계화학공학회

2003

송영수, 정지원, "자성 박막의 건식 식각"

춘계화학공학회

2003

송영수, 김혜인, 정지원, "DC 마그네트론 스퍼터링에 의하여 증착된 바나듐 산화막의 특성분석"

춘계공업화학회

2003

송영수, 변요한, 정지원, "HBr/Ar 플라즈마내에서 Polysilicon 박막의 Nanometer 크기의 패터닝"

춘계공업화학회

2003

송영수, 신별, 정지원, "SiO2 hard mask 이용한 자성 박막의 건식 식각"

추계화학공학회

2003

Chee Won Chung, Hye In Kim and Young Soo Song, "Cl2/C2F6/Ar HBr/Ar PLASMA에서 Pb(ZrXTi1-X)O3 박막의 유도 결합 플라즈마 식각"

추계화학공학회

2002

송영수, 변요한, 김혜인, 정지원, "DC 마그네트론 스퍼터링에 의한 Ge2Sb2Te5 박막의 증착"

추계화학공학회

2002

변요한, 김혜인, 송영수, 정지원, "고밀도 플라즈마를 사용한 polysilicon 박막의 nanometer 크기의 패터닝"

추계화학공학회

2002

김혜인, 변요한, 송영수, 정지원, "Lanthanum 조성변화에 따른 강유전체 Bi4-XLaXTi3O12 박막의 구조적전기적 특성"

추계공업화학회

2002

변요한, 김혜인, 정지원, "Cl2/Ar C2F6/Ar 가스를 사용한 Polysilicon 박막의 고밀도 플라즈마 식각"

춘계화학공학회

2002

송영수, 변요한, 김혜인, 윤진구, 정지원, "반응성 스퍼터링에 의한 TiAlN 박막의 증착"

춘계화학공학회

2002

김혜인, 변요한, 윤진구, 송영수, 정지원, "화학 용액 증착법에 의하여 증착된 Bi3.25La0.75Ti3O12 박막에 대한 결정화 온도의 영향"

춘계공업화학회

2002

김혜인, 변요한, 정지원, "화학용액 증착법으로 증착시킨 Bi4Ti3O12 박막의 구조와 표면특성"

추계공업화학회

2001

변요한, 김혜인, 정지원, "Wet Etch Characteristics of NiFe, CoFe Thin Films"

Proceedings of 2001 KIChE, Fall Meeting, Vol.7

2001

Dongkyu Lim and Chee Won Chung, "High Density Plasma Etching of Iridium Thin Film for Ferroelectric Random Access Memory"

Proceedings of 2000 KIChE, Spring Meeting, Vol.6

2000

Chee Won Chung and Chang Jung Kim, "Dry Etching of Pt/Pb(ZrXTi1-X)O3/Pt Thin Film Capacitors in an Inductively Coupled Plasma (ICP) for Nonvolatile Semiconductor Memory Applications"

Proceedings of '97 KIChE, Spring Meeting

1997

Chee Won Chung and Chang Jung Kim, "Characterization of Sol-Gel Precursor Solutions for the preparation of Pb(ZrXTi1-X)O3 Thin Films"

Proceedings of '97 KIChE, Fall Meeting, Vol.3 (2)

1997



Top of the Page

Electronic Materials Processing Laboratory(2E-244)
Department of Chemical Engineering, Inha University
100 Inha-ro, Nam-gu, Incheon, Korea, 402-751
TEL: 032-860-7473 FAX: 032-872-0959